Directly to content
  1. Publishing |
  2. Search |
  3. Browse |
  4. Recent items rss |
  5. Open Access |
  6. Jur. Issues |
  7. DeutschClear Cookie - decide language by browser settings

Green Wave : A Semi Custom Hardware Architecture for Reverse Time Migration

Krüger, Jens-Thomas

German Title: Green Wave : Eine Semi Custom Hardware Architektur für Reverse Time Migration

[thumbnail of GreenWave.pdf]
Preview
PDF, English
Download (9MB) | Terms of use

Citation of documents: Please do not cite the URL that is displayed in your browser location input, instead use the DOI, URN or the persistent URL below, as we can guarantee their long-time accessibility.

Abstract

Over the course of the last few decades the scientific community greatly benefited from steady advances in compute performance. Until the early 2000's this performance improvement was achieved through rising clock rates. This enabled plug-n-play performance improvements for all codes. In 2005 the stagnation of CPU clock rates drove the computing hardware manufactures to attain future performance through explicit parallelism. Now the HPC community faces a new, even bigger challenge. So far performance gains were achieved through replication of general-purpose cores and nodes. Unfortunately, rising cluster sizes resulted in skyrocketing energy costs - a paradigm change in HPC architecture design is inevitable. In combination with the increasing costs of data movement, the HPC community started exploring alternatives like GPUs and large arrays of simple, low-power cores (e.g. BlueGene) to offer the better performance per Watt and greatest scalability. As in general science, the seismic community faces large-scale, complex computational challenges that can only be limited solved with available compute capabilities. Such challenges include the physically correct modeling of subsurface rock layers. This thesis analyzes the requirements and performance of isotropic (ISO), vertical transverse isotropic (VTI) and tilted transverse isotropic (TTI) wave propagation kernels as they appear in the Reverse Time Migration (RTM) imaging method. It finds that even with leading-edge, commercial off-the-shelf hardware, large-scale survey sizes cannot be imaged within reasonable time and power constraints. This thesis uses a novel architecture design method leveraging a hardware/software co-design approach, adopted from the mobile- and embedded market, for HPC. The methodology tailors an architecture design to a class of applications without loss of generality like in full custom designs. This approach was first applied in the Green Flash project, which proved that the co-design approach has the potential for high energy efficiency gains. This thesis presents the novel Green Wave architecture that is derived from the Green Flash project. Rather than focusing on climate codes, like Green Flash, Green Wave chooses RTM wave propagation kernels as its target application. Thus, the goal of the application-driven, co-design Green Wave approach, is to enable full programmability while allowing greater computational efficiency than general-purpose processors or GPUs by offering custom extensions to the processor's ISA and correctly sizing software-managed memories and an efficient on-chip network interconnect. The lowest level building blocks of the Green Wave design are pre-verified IP components. This minimizes the amount of custom logic in the design, which in turn reduces verification costs and design uncertainty. In this thesis three Green Wave architecture designs derived from ISO, VTI and TTI kernel analysis are introduced. Further, a programming model is proposed capable of hiding all communication latencies. With production-strength, cycle-accurate hardware simulators Green Wave's performance is benchmarked and its performance compared to leading on-market systems from Intel, AMD and NVidia. Based on a large-scale example survey, the results show that Green Wave has the potential of an energy efficiency improvement of 5x compared to x86 and 1.4x-4x to GPU-based clusters for ISO, VTI and TTI kernels.

Translation of abstract (German)

Im Laufe der vergangenen Jahrzehnte profitierte die Wissenschaft von stetigen Leistungssteigerungen im Hochleistungsrechnen. Bis Anfang des neuen Jahrtausends wurden diese insbesondere durch höhere Taktraten der Prozessoren erreicht. Durch einfaches Austauschen älterer Prozessoren durch eine neue Generation wurde bessere Leistung für alle Codes erreicht. Diese Entwicklung endete im Jahre 2005. Mit 4 Ghz waren Prozessoren an eine Grenze gestoßen, bei der Wärmeentwicklung und Stromverbrauch nicht weiter gesteigert werden konnten um höhere Taktraten zu ermöglichen. Um zukünftige Leistungssteigerungen zu ermöglichen, wurden Taktraten gesenkt und Leistung durch ausnutzen expliziten Parallelismus, innerhalb eines "Shared Multiprocessors" , erreicht. Heute steht die High-Performance Computing Gemeinschaft vor einer neuen, noch größeren, Herausforderung. Um den stetig wachsenden Leistungshunger im wissenschaftlichen Rechnen zu befriedigen, wurden immer mehr Prozessoren in HPC Systemen verbaut. Genau wie Mitte des ersten Jahrzehnts die Leistungsaufnahme eines einzelnen Prozessors an seine Grenzen stieß, gilt dies auch für Großrechner von heute, bei denen die Leistungsaufnahme und damit die Kosten für Energie und Infrastruktur, über ökonomisch und ökologisch, vertretbare Grenzen hinausgehen. Ein radikaler Wandel in der HPC ist deshalb unausweichlich. Auf Grund dessen rücken alternative Ansätze, wie etwa GPUs und "Many-Core" Systeme, verstärkt in den Fokus von Wissenschaft und Industrie. Insbesondere die öl- und Gas-Industrie sieht sich enormen Herausforderungen gegenübergestellt um physikalisch korrekte Abbildungen des Untergrundes, für explorative Zwecke zu erstellen. Diese Arbeit analysiert drei wesentliche Wellenpropagationskernel wie sie für die Reverse Time Migration (RTM) verwendet werden: für isotrope, vertikal transversal isotrope und geneigt transversal isotrope Medien. Die Analysen dieser Arbeit zeigen, daßauch auf Computersystemen neuester Generation solche Algorithmen, angewandt auf große Explorationsvolumen und kurze Rechenzeiten, von der Leistungsaufnahme für kein Rechenzentrum zu vertreten sind. In dieser Arbeit wird ein neuartiger "Hardware/Software Co-Design" Ansatz für HPC Architektur benutzt um signifikante Verbesserungen gegenüber allen evaluierten, markterhältlichen Systemen zu erreichen und RTM selbst für große Gebiete ermöglicht. Es wird eine neue Prozessorarchitektur mit dem Namen "Green Wave" vorgestellt, welche auf eine Klasse von Algorithmen optimiert ist und sich somit, anders als bei voll angepassten Designkonzepten, nicht auf spezielle Kernel beschränkt. Green Wave basiert auf Tensilica's hoch-effizienten LX4 Prozessor, der das Hinzufügen von kernel-spezifischen Instruktionen ermöglicht. Mit weiterem Anpassen des Chipdesigns durch "Local-Stores" und einem effizientem "Network-on-Chip" wird eine bestmögliche Energieeffizienz erreicht. Die weiteren Grundbausteine von Green Wave sind vor-verifizierte, markterhältliche Hardwarekomponenten, um Verifikations- und Produktionskosten möglichst gering zu halten. Anhand der vorgestellten Programmiermodellen für Green Wave, werden mit dem Tensilica "Instruction Set Simulator" (ISS) zyklengenaue Leistungsbenchmarks erstellt und werden mit den evaluierten Architekturen von Intel, AMD und NVidia, verglichen. Die Ergebnisse zeigen, daßEnergieeffizienz-Verbesserungen von ca. 5x gegenüber x86 basierten Architekturen und 1.4x bis 4x gegenüber GPU basierten Systemen erreicht werden.

Document type: Dissertation
Supervisor: Brüning, Prof. Dr. Ulrich
Date of thesis defense: 26 June 2012
Date Deposited: 31 Jul 2012 11:38
Date: 2012
Faculties / Institutes: The Faculty of Mathematics and Computer Science > Department of Computer Science
DDC-classification: 004 Data processing Computer science
Controlled Keywords: Computerarchitektur, System-on-Chip, Hochleistungsrechnen, Migration <Seismik>, Seismik, Energieeffizienz
Uncontrolled Keywords: Reverse Time Migration , Many-Core SoC , Green Flash , Hardware/Software Co-Design
About | FAQ | Contact | Imprint |
OA-LogoDINI certificate 2013Logo der Open-Archives-Initiative